News

Continual Hashing for Efficient Fine-grain State Inconsistency Detection [abstract] (IEEE Xplore, PDF)
Jae W. Lee, Myron King, and Krste Asanovic
Proceedings of the 2007 IEEE International Conference on Computer Design (ICCD), October 2007.

Transaction-level modeling (TLM) allows a designer to save functional verification effort during the modular refinement of an SoC by reusing the prior implementation of a module as a golden model for state inconsistency detection. One problem in simulation-based verification is the performance and bandwidth overhead of state dump and comparison between two models. In this paper, we propose an efficient fine-grain state inconsistency detection technique that checks the consistency of two states of arbitrary size at subtransaction (tick) granularity using incremental hashes. At each tick, the hash generates a signature of the entire state, which can be efficiently updated and compared. We evaluate the proposed signature scheme with a FIR filter and a Vorbis decoder and show that very fine-grain state consistency checking is feasible. The hash signature checking increases execution time of Bluespec RTL simulation by 1.2% for the FIR filter and by 2.2% for the Vorbis decoder while correctly detecting any injected state inconsistency.